Re: [問題] NS2 安裝

看板Network_Sim作者 (.)時間15年前 (2009/03/11 10:48), 編輯推噓0(001)
留言1則, 1人參與, 最新討論串2/3 (看更多)
-- ※ 發信站: 批踢踢實業坊(ptt.cc) ◆ From: 128.151.24.206

03/11 07:44,
我正在跑./validate 只是跑這個要怎麼看有沒有安裝成功?
03/11 07:44

03/11 09:58,
要是我的話,我會先去看 \ns-allinone\bin 與
03/11 09:58
\ns-allinone-2.3\bin 裡面有 ns.exe的捷徑連結至 \ns-allinone-2.33\ns-2.33\ns.exe \ns-allinone-2.33\ns-2.33\ 底下有 ns.exe \ns-allinone-2.33\ns-2.33\bin 底下沒有 ns.exe執行檔 但是有一個NS資料夾 這樣算有成功嗎 另外我已經重新編譯三次了 還是如此 有其他地方能夠在注意的嗎? 我的.bashrc 如下 (直接複製柯老師網頁 並將我的ns2/nam/tcl/tk 版本改成我安裝的版本) export NS_HOME=/home/ns-allinone-2.33 export PATH=$NS_HOME/nam-1.13:$NS_HOME/tcl8.4.18/unix:$NS_HOME/ tk8.4.18/unix:$NS_HOME/bin:$PATH export LD_LIBRARY_PATH=$NS_HOME/tcl8.4.18/unix:$NS_HOME/tk8.4.18/ unix:$NS_HOME/otcl-1.13:$NS_HOME/lib:$LD_LIBRARY_PATH export TCL_LIBRARY=$NS_HOME/tcl8.4.18/library

03/11 09:59,
\ns-allinone-2.33\ns-2.33\ 底下是否有 ns.exe
03/11 09:59

03/11 10:00,
有的話代表編譯成功。 編譯成功就去看 .bashrc 路徑設定
03/11 10:00

03/11 10:00,
是否正確。
03/11 10:00

03/11 10:01,
沒編譯成功的話請重新執行 install.sh 。
03/11 10:01
-- ※ 發信站: 批踢踢實業坊(ptt.cc) ◆ From: 74.67.191.236 ※ 編輯: sean72 來自: 74.67.191.236 (03/11 10:52)

03/11 10:55, , 1F
另外我已經能開啟startxwin.bat
03/11 10:55, 1F
文章代碼(AID): #19joPtbo (Network_Sim)
討論串 (同標題文章)
文章代碼(AID): #19joPtbo (Network_Sim)